platform tree架构下i2c应用实例(HS3003)

news2025/12/15 10:51:21

目录

概述

1 探究platform tree下的i2c

1.1 platform tree下的i2c驱动

1.2 查看i2c总线下的设备

1.3 使用命令读写设备寄存器

2 认识HS3003

2.1 HS3003特性

2.2 HS3003寄存器

2.2.1 温湿度数据寄存器

2.2.2 参数寄存器

2.2.3 一个参数配置Demo

2.3 温湿度值转换

2.4 HS3003应用电路

2.4.1 PIN引脚定义

2.4.2 sensor 应用电路

3 驱动程序实现

4 测试程序实现

5 编译和验证

6 波形分析


概述

       本文主要介绍platform tree架构下i2c驱动的使用方法,并介绍如何使用i2c-tools来探测总线上的设备信息。然后详细介绍HS3003的芯片的使用方法,并使用i2c驱动接口,实现该芯片的驱动程序,然后再板卡上测试验证该程序,并通过逻辑分析仪查看这个读写过程的波形。

1 探究platform tree下的i2c

1.1 platform tree下的i2c驱动

启动板卡,查询/dev/下驱动情况 , 使用命令:

ls /dev/  -l

执行命名后,可列出该目录下所有的驱动信息,找出i2c驱动,如下:

由上图可得,板卡driver下,由两个i2c接口,分别为i2c-0和i2c-1

1.2 查看i2c总线下的设备

        i2c总线上可以挂载多个device,其要求在同一条总线上,每个设备的地址必须唯一性。如果两个设备的地址一样,会出现时序混乱。

       下面通过命令来探测一下i2c总线下的设备情况

1) 查看i2c-0下设备情况

使用命令

 i2cdetect -a 0

执行该命令后,列出设备地址信息: 该总线下有两个设备,其地址分别为:0x1a和0x1e

2) 查看i2c-1下设备情况

使用命令

i2cdetect -a 1

 执行该命令后,列出设备地址信息: 该总线下有三个设备,其地址分别为:0x40,0x44,和0x44

1.3 使用命令读写设备寄存器

      使用 i2c-tools 工具包提供了一些非常方便的工具来对系统的 I2C 总线进行调试。下面以HS3003为例,使用i2c-tools工具来操作其内部的寄存器。

1)查看设备地址0x44下所有的寄存器信息 

i2cdump  -f -y 1 0x44

2) 读取寄存器的值

i2cget -f -y 1 0x44 0x06

3)写寄存器的值

i2cset -f -y 1 0x44 0xA0 0x10 0x40

2 认识HS3003

2.1 HS3003特性

HS3003是瑞萨公司出品的一款高精度温湿度传感器,下面看看其主要参数:

2.2 HS3003寄存器

       HS3003采用标准的I2C通信方式,对其寄存器的操作必须遵循标准的I2C时序。现在分析如何操作其寄存器,读取数据。

2.2.1 温湿度数据寄存器

温湿度数据寄存器的数据位定义如下,其主要由四个字节组成一个32bit数据, bit-0 和 bit-1为Mask,其主要用来标记当前数据是否有效(mask =0 数据有效), 温度数据(低16 bit ): bit-2 ~ bit ~ 15

湿度数据( 高16 bit): bit-8 ~ bit 13 

采样温湿度数据间隔时间根据配置的ADC精度来选取,精度要求越高,采样所需要的时间就越长。那么读取数据时,需要等待的时间就越长。

2.2.2 参数寄存器

精度参数如下:

参数寄存器列表

如何配置参数呢?芯片手册给了四个步骤

2.2.3 一个参数配置Demo

下面给一个各一个配置参数的范例,配置humidity 的采集精度为12bit, 那么参数设定如下:

  bit-10:  0

  bit-11: 1

typedef struct
{
   unsigned short res1          : 10;
   unsigned short tempdata      : 2;
   unsigned short res2          : 4;
} stru_para_bit;

typedef struct{
   union
   {
      unsigned short data;
      stru_para_bit para_bit;
   };
}stru_para;


int hs300x_init(void)
{
    int ret;
    unsigned char   buff[4];
    stru_para para;
    
    // step-1 write data from 0x06
    buff[0] = 0x06;
    buff[1] = 0;
    buff[2] = 0;
    ret = write(fd, buff, 3);
    if( ret < 0 )
    {
        printf("read temper cmd to hs3003 register failure.\n");
        return -1;
    }
    
    // step -2: read reg - 0x81
    buff[0] = 0x81;
    ret = write(fd, buff, 1);
    if( ret < 0 )
    {
        printf("read cmd to hs3003 register failure. \r\n");
        return -1;
    }
    
    ret = read(fd, buff, 2);
    if( ret < 0 )
    {
        printf("write cmd to hs3003 register failure.\n");
        return -1;
    }
    printf(" read reg: 0x81 - data0 = %02x data1 = %02x \r\n",buff[0],buff[1]);
    
    //step -3:  write data from 0x46
    para.data = buff[0]<<8 | buff[1];
    para.para_bit.tempdata = 1; 
    buff[0] = 0x46;
    buff[1] = (unsigned char)para.data;
    buff[2] = (unsigned char)(para.data>>8);
    
    ret = write(fd, buff, 3);
    if( ret < 0 )
    {
        printf("write cmd to hs3003 register failure. \r\n");
        return -1;
    }
    printf("write reg: 0x46 - data0 = %02x data1 = %02x \r\n",buff[0],buff[1]);
    
    return 0;
}

2.3 温湿度值转换

datasheet中给的转换公式如下:

下面看看在程序中如何实现温湿度值转换的

首先定义一个数据结构


typedef struct
{
   unsigned int mask          : 2;
   unsigned int tempdata      : 14;
   unsigned int humidydata    : 14;
   unsigned int res           : 2;
} Datafetch_bit;

typedef struct{
   union
   {
      unsigned int data;
      Datafetch_bit fetch_bit;
   };
   float tempval;
   float humival;
}hs300x_data;

       从温湿度的数据寄存器中读取出来有四个分别为8bit的数据, 将该数据拼成一个32bit的数据,在赋值给data, 上述数据结构会自动解析该数据。通过mask位判断数据是否有效。

    phs300x_data->data = ((buff[0] << 24U) |(buff[1] << 16U) |(buff[2] << 8U)|(buff[3]));
    if( phs300x_data->fetch_bit.mask == HS300X_DATA_VALID){
        // get temperature value 
        val = phs300x_data->fetch_bit.tempdata;
        phs300x_data->tempval = (double)val/(double)(HS300X_DATA_FACTOR) * 165.0 - 40;

        printf(" - TM(C): %.2f \r\n", phs300x_data->tempval);

        // get humidity value 
        val = phs300x_data->fetch_bit.humidydata;
        phs300x_data->humival = (double)val/(double)(HS300X_DATA_FACTOR) * 100.0;
        
        printf(" - HM(\%): %.2f \r\n", phs300x_data->humival);
    }

2.4 HS3003应用电路

2.4.1 PIN引脚定义

传感器封装

pin引脚

2.4.2 sensor 应用电路

下面是传感器模块的实际应用电路:

3 驱动程序实现

编写驱动程序代码:

/***************************************************************
Copyright  2024-2029. All rights reserved.
文件名     : drv_hs3003.c
作者       : tangmingfei2013@126.com
版本       : V1.0
描述       : hs3003驱动程序
其他       : 无
日志       : 初版V1.0 2024/02/01

***************************************************************/
#include <sys/types.h>
#include <sys/stat.h>
#include <linux/types.h>
#include <linux/i2c-dev.h>
#include <linux/i2c.h>
#include <sys/ioctl.h>
#include <unistd.h>
#include <stdio.h>
#include <string.h>
#include <fcntl.h>
#include <stdlib.h>
#include <linux/fs.h>
#include <errno.h>
#include <assert.h>
#include <string.h>
#include <time.h>

/* hs3003 i2c address */
#define HS300X_ADDR                          (0x44U)

#define HS300X_DATA_VALID                    (0x00U)
#define HS300X_DATA_STALE                    (0x01U)
#define HS300X_STATUS_MASK                   (0xC0000000U)
#define HS300X_STATUS_POS                    (30U)

#define HS300X_DATA_MASK                     (0x3FFFFFFCU)
#define HS300X_HUMI_DATA_MASK                (0x3FFF0000U)
#define HS300X_HUMI_DATA_POS                 (16U)
#define HS300X_TEMP_DATA_MASK                (0x0000FFFCU)
#define HS300X_TEMP_DATA_POS                 (2U)

#define HS300X_REG_R_TRG                     0X06
#define HS300X_REG_W_TRG                     0X46

/* calculation formula, 2^14 - 1 */
#define HS300X_DATA_FACTOR                   (16383U)

#define DEV_FILE                              "/dev/i2c-1"

typedef struct
{
   unsigned int mask          : 2;
   unsigned int tempdata      : 14;
   unsigned int humidydata    : 14;
   unsigned int res           : 2;
} Datafetch_bit;

typedef struct{
   union
   {
      unsigned int data;
      Datafetch_bit fetch_bit;
   };
   float tempval;
   float humival;
}hs300x_data;

typedef struct
{
   unsigned short res1          : 10;
   unsigned short tempdata      : 2;
   unsigned short res2          : 4;
} stru_para_bit;

typedef struct{
   union
   {
      unsigned short data;
      stru_para_bit para_bit;
   };
}stru_para;

static int fd = -1;


static int hs300x_drv_init(void)
{
    fd = open(DEV_FILE, O_RDWR);
    if( fd < 0 )
    {
        close( fd );
        printf("%s %s i2c device open failure: %s\n", __FILE__, __FUNCTION__, strerror(errno));
        return -1;
    }

    ioctl(fd, I2C_TENBIT, 0);
    ioctl(fd, I2C_SLAVE, HS300X_ADDR);
    
    printf("init hs3003!\r\n");
    return fd;
}

int hs300x_init(void)
{
    int ret;
    unsigned char   buff[4];
    stru_para para;
    
    hs300x_drv_init();
    
    // step-1 write data from 0x06
    buff[0] = 0x06;
    buff[1] = 0;
    buff[2] = 0;
    ret = write(fd, buff, 3);
    if( ret < 0 )
    {
        printf("read temper cmd to hs3003 register failure.\n");
        return -1;
    }
    
    // step -2: read reg - 0x81
    buff[0] = 0x81;
    ret = write(fd, buff, 1);
    if( ret < 0 )
    {
        printf("read cmd to hs3003 register failure. \r\n");
        return -1;
    }
    
    ret = read(fd, buff, 2);
    if( ret < 0 )
    {
        printf("write cmd to hs3003 register failure.\n");
        return -1;
    }
    printf(" read reg: 0x81 - data0 = %02x data1 = %02x \r\n",buff[0],buff[1]);
    
    //step -3:  write data from 0x46
    para.data = buff[0]<<8 | buff[1];
    para.para_bit.tempdata = 1; 
    buff[0] = 0x46;
    buff[1] = (unsigned char)para.data;
    buff[2] = (unsigned char)(para.data>>8);
    
    ret = write(fd, buff, 3);
    if( ret < 0 )
    {
        printf("write cmd to hs3003 register failure. \r\n");
        return -1;
    }
    printf("write reg: 0x46 - data0 = %02x data1 = %02x \r\n",buff[0],buff[1]);
    
    return 0;
}

int hs300x_read_value(hs300x_data *phs300x_data)
{
    int ret;
    unsigned char buff[4];
    unsigned int val;
    stru_para para;

    // write data to 0xa0
    para.data = 0;
    buff[0] = 0xa0;
    buff[1] = (unsigned char)para.data;
    buff[2] = (unsigned char)(para.data>>8);
    ret = write(fd, buff, 3);
    if( ret < 0 )
    {
        printf("write cmd to hs3003 register failure.\n");
        return -1;
    }
    sleep(1);
    
    ret = read(fd, buff, 4);
    if( ret < 0 )
    {
        printf("get the hs3003 value failure.\n");
        return -1;
    }
    printf(" - data0 = %02x data1 = %02x data3 = %02x data4 = %02x \r\n",buff[0],buff[1],buff[2],buff[3]);
    
    phs300x_data->data = ((buff[0] << 24U) |(buff[1] << 16U) |(buff[2] << 8U)|(buff[3]));
    if( phs300x_data->fetch_bit.mask == HS300X_DATA_VALID){
        // get temperature value 
        val = phs300x_data->fetch_bit.tempdata;
        phs300x_data->tempval = (double)val/(double)(HS300X_DATA_FACTOR) * 165.0 - 40;

        printf(" - TM(C): %.2f \r\n", phs300x_data->tempval);

        // get humidity value 
        val = phs300x_data->fetch_bit.humidydata;
        phs300x_data->humival = (double)val/(double)(HS300X_DATA_FACTOR) * 100.0;
        
        printf(" - HM(\%): %.2f \r\n", phs300x_data->humival);
    }
    
    return 0;
}

4 测试程序实现

编写测试程序来验证该驱动程序

int main(void)
{
    hs300x_data stru_hs300x;
    int count_run = 10000;
    int set;

    set = hs300x_init();
    if( set < 0){
        printf("initial hs3003 failure.\r\n");
        return -1;
    }

    while( count_run > 0){
        set = hs300x_read_value( &stru_hs300x );
        if(set != -1)
        {
            //printf( "\r\n lux:  %d ", temper);
        }
        else{
            printf("read isl19035 failure. \r\n");
        }
        count_run--;
        sleep(1);
    }
    
    return 0;
}

5 编译和验证

编写Makefile ,编译测试代码,并将其copy到共享目录下,方便在板卡中运行该App

CFLAGS= -Wall -O2
CC=/home/ctools/gcc-linaro-4.9.4-arm-linux-gnueabihf/bin/arm-linux-gnueabihf-gcc
STRIP=/home/ctools/gcc-linaro-4.9.4-arm-linux-gnueabihf/bin/arm-linux-gnueabihf-strip


dev_13_hs3003: dev_13_hs3003.o
	$(CC) $(CFLAGS) -o dev_13_hs3003 dev_13_hs3003.o
	$(STRIP) -s dev_13_hs3003

clean:
	rm -f dev_13_hs3003 dev_13_hs3003.o

编译代码

运行App, 测试程序能正确地读取温度和湿度值。

6 波形分析

1)触发数据转换命令

2)读数据波形

3) 逻辑分析仪解析到的数据列表

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1433373.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

ubuntu22.04 经常死机,鼠标,键盘无响应

一、现象说明 1. 开机一小时后&#xff0c;突然之间网络掉线&#xff0c;鼠标、键盘无反应。 2.强制重启后&#xff0c;恢复正常。 3.多次重复出现该问题。 二、环境说明&#xff1a;内核、显卡 三、异常日志&#xff1a; /var/log/syslog: 四、问题解答&#xff1a; 1.…

Linux 路由配置与使用

概念 路由信息用于指导数据包从源地址查找到目的地址传输路径的信息&#xff1b; 路由分类 根据路由信息的来源分为静态路由和动态路由 静态路由 由管理员手动配置的路由表项信息&#xff0c;根据路由形式的不同&#xff0c;静态路由又可细分为&#xff1a; 直连路由&#xf…

asp.net core 依赖注入 实例化对象实例

在面向对象编程中&#xff0c;推荐使用面向接口编程&#xff0c;这样我们的代码就依赖于服务接口&#xff0c;而不是依赖于实现类&#xff0c;可以实现代码解耦。 名称解释&#xff1a; 我们把负责提供对象的注册和 获取功能的框架叫作“容器”&#xff0c; 注册到容器中的对象…

java设计模式:观察者模式

在平常的开发工作中&#xff0c;经常会使用到设计模式。合理的使用设计模式&#xff0c;可以提高开发效率、提高代码质量、提高代码的可拓展性和维护性。今天来聊聊观察者模式。 观察者模式是一种行为型设计模式&#xff0c;用于对象之间一对多的依赖关系&#xff0c;当被观察对…

vue前端+nodejs后端通信-简单demo

本文记录vue前端nodejs后端通讯最简单的方法&#xff0c;供广大网友最快速进入全栈开发。 技术架构 前端 vue axios 后端 nodejs express 一、前端部分-搭建VUE 项目 vue create Vnodenpm run serve 启动&#xff1b; 具体操作步骤&#xff0c;请自行百度&#xff0c;这里没…

MySQL-----约束

目录​​​​​ 约束 一 主键约束 1-1 操作-添加单列主键 1-2 操作-添加多列主键 1-3 修改表结构添加主键 1-4 删除主键约束 二 自增长约束 2-1 指定自增长字段的初始值 2-2 删除自增列 三 非空约束 3-1 创建非空约束 3-2 删除非空约束 四 唯一约束…

算法学习——华为机考题库10(HJ64 - HJ69)

算法学习——华为机考题库10&#xff08;HJ64 - HJ69&#xff09; HJ64 MP3光标位置 描述 MP3 Player因为屏幕较小&#xff0c;显示歌曲列表的时候每屏只能显示几首歌曲&#xff0c;用户要通过上下键才能浏览所有的歌曲。为了简化处理&#xff0c;假设每屏只能显示4首歌曲&a…

ffmpeg的使用,安装,抽帧,加水印,截图,生成gif,格式转换,抓屏等

实际使用中总结的关于ffmpeg对视频的处理的记录文档 具体信息&#xff1a; http://ffmpeg.org/download.html 官网下载ffmpeg 关于ffmpeg的安装详细步骤和说明 装ffmpeg 方式,Linux和windows下的 http://bbs.csdn.net/topics/390519382 php 调用ffmpeg , http://bbs.csdn.net/t…

『 C++ - Hash 』闭散列与开散列哈希表详解及其实现 ( 万字 )

文章目录 &#x1f47e; 哈希表概念&#x1f47e; 常见哈希函数&#x1f38f; 直接定址法&#x1f38f; 除留余数法 &#x1f47e; 哈希冲突的解决方案&#x1f38f; 闭散列与闭散列哈希表的实现&#x1f390; 闭散列哈希表的节点设置与基本架构&#x1f390; 闭散列哈希表的插…

【Linux系统 01】Vim工具

目录 一、Vim概述 1. 文件打开方式 2. 模式切换 二、命令模式 1. 移动与跳转 2. 复制与粘贴 3. 剪切与撤销 三、编辑模式 1. 插入 2. 替换 四、末行模式 1. 保存与退出 2. 查找与替换 3. 分屏显示 4. 命令执行 一、Vim概述 1. 文件打开方式 vim 文件路径&#…

jmeter设置关联

一、为什么要设置关联&#xff1f; http协议本身是无状态的&#xff0c;客户端只需要简单向服务器请求下载某些文件&#xff0c;无论是客户端还是服务端都不去记录彼此过去的行为&#xff0c;每一次请求之间都是独立的。如果jmeter需要设置跨线程组脚本&#xff0c;就必须设置…

【代码随想录-哈希表】有效的字母异位词

💝💝💝欢迎来到我的博客,很高兴能够在这里和您见面!希望您在这里可以感受到一份轻松愉快的氛围,不仅可以获得有趣的内容和知识,也可以畅所欲言、分享您的想法和见解。 推荐:kwan 的首页,持续学习,不断总结,共同进步,活到老学到老导航 檀越剑指大厂系列:全面总结 jav…

flutter开发实战-ijkplayer视频播放器功能

flutter开发实战-ijkplayer视频播放器功能 使用better_player播放器进行播放视频时候&#xff0c;在Android上会出现解码失败的问题&#xff0c;better_player使用的是video_player&#xff0c;video_player很多视频无法解码。最终采用ijkplayer播放器插件&#xff0c;在flutt…

C# OMRON PLC FINS TCP协议简单测试

FINS(factory interface network service)通信协议是欧姆龙公司开发的用于工业自动化控制网络的指令&#xff0f;响应系统。运用 FINS指令可实现各种网络间的无缝通信&#xff0c;包括用于信息网络的 Etherne(以太网)&#xff0c;用于控制网络的Controller Link和SYSMAC LINK。…

前端框架学习 Vue(3)vue生命周期,钩子函数,工程化开发脚手架CLI,组件化开发,组件分类

Vue 生命周期 和生命周期的四个阶段 Vue生命周期:一个Vue实例从创建 到 销毁 的整个过程 生命周期四个阶段 :(1)创建 (2)挂载 (3)更新 (4)销毁 Vue生命周期函数(钩子函数) Vue生命周期过程中,会自动运行一些函数,被称为[生命周期钩子] ->让开发者可以在[特定阶段] 运行自…

[MFC] MFC消息机制的补充

之前写了[MFC] 消息映射机制的使用和原理浅析&#xff0c;还有些需要补充的&#xff0c;都记在这里。 MFC 消息的分类 MFC消息分为系统消息和自定义消息。 图片来源&#xff1a;C语言/C教程 大型源码案例分析&#xff1a;MFC消息系统的代码解析 易道云编程 系统消息分为窗口…

【SpringBoot】SpringBoot的web开发

&#x1f4dd;个人主页&#xff1a;五敷有你 &#x1f525;系列专栏&#xff1a;SpringBoot ⛺️稳重求进&#xff0c;晒太阳 Wbe开发 使用Springboot 1&#xff09;、创建SpringBoot应用&#xff0c;选中我们需要的模块&#xff1b; 2&#xff09;、SpringBoot已经默…

用友GRP-U8 listSelectDialogServlet SQL注入漏洞复现

0x01 产品简介 用友GRP-U8R10行政事业内控管理软件是用友公司专注于国家电子政务事业,基于云计算技术所推出的新一代产品,是我国行政事业财务领域最专业的政府财务管理软件。 0x02 漏洞概述 用友GRP-U8R10行政事业内控管理软件 listSelectDialogServlet 接口处存在SQL注入…

chisel RegInit/UInt/U

val reg RegInit(0.U(8.W)) //ok val reg RegInit(0.UInt(8.W)) //errU 使用在数字 . 后边50.U UInt 使用在IO(new Bundle val a Input(UInt(8.W)) 或者 def counter(max:UInt, a1:UInt) package emptyimport chisel3._ import chisel3.util._class MyCounter extends …

操作系统-【预备学习-1】(Linux 文件目录)

文章目录 相关知识目录结构进入目录补充查看目录创建文件删除文件创建文件夹删除文件夹文件和文件夹拷贝文件和文件夹移动/重命名 任务要求 相关知识 目录结构 Linux 文件系统是树形层次结构&#xff0c;具体如下图所示&#xff0c;最重要的是根目录&#xff08;/&#xff09…