SystemC在CPU和GPU等复杂SoC验证中的应用
摘要:SystemC 是一种基于 C++ 的硬件描述和仿真语言,广泛用于系统级设计和验证,特别是在 CPU 和 GPU 等复杂 SoC (System on Chip) 的验证工作中。通过 SystemC,你可以构建硬件模块、定义时序行为、进行系统级仿真,并与 UVM (Universal Verification Methodology) 等验证方法结合使用。以下是 50 个极具代表性的 SystemC 开发示例,旨在帮助你熟悉 SystemC 的所有核心语法和功能,并为后续 CPU/GPU 验证工作奠定基础。
由于篇幅限制,每个示例提供核心代码和详细注释,涵盖 SystemC 的基本语法、模块设计、时序控制、通信机制和仿真技术。每个程序都包含一个简单的 Testbench,用于仿真和验证模块行为。这些示例从基础到高级,逐步覆盖 SystemC 的主要特性,并为 CPU/GPU 验证提供应用场景。完整的运行环境需要安装 SystemC 库(可从 Accellera 官网下载),并使用支持 C++ 的编译器(如 g++)编译代码。
SystemC 学习与验证路径
- 目标:通过 50 个程序熟悉 SystemC 语法,包括模块定义、端口、信号、时序、进程、通信和仿真。
- 后续应用:将 SystemC 用于 CPU/GPU 验证,构建硬件模型、Testbench 和系统级仿真环境。
- 学习建议:
- 安装 SystemC 库并配置环境(参考 Accellera 官网教程)。
- 使用 g++ 编译器编译代码(如
g++ -I/path/to/systemc/include -L/path/to/systemc/lib -lsystemc file.cpp -o sim
)。 - 逐步运行每个程序,理解注释,记录仿真结果。
- 将高级示例应用于 CPU/GPU 验证场景。
1. SystemC 基础语法 (1-10)
这些程序覆盖 SystemC 的基本语法和结构,适合初学者。
1.1 Hello World - 基本模块定义
- 目标:熟悉 SystemC 模块定义和仿真启动。
- 应用:理解基本仿真框架。
#include <systemc.h>
// 定义一个简单的 SystemC 模块
SC_MODULE(HelloWorld) {
// 构造函数
SC_CTOR(HelloWorld) {
// 注册一个线程进程,仿真时执行
SC_THREAD(hello_thread);
}
// 线程进程,输出 Hello World
void hello_thread() {
cout << "Hello, SystemC World!" << endl;
}
};
// Testbench
int sc_main(int argc, char* argv[]) {
// 创建模块实例
HelloWorld hw("HelloWorld");
// 启动仿真,运行 1 纳秒
sc_start(1, SC_NS);
return 0;
}
1.2 端口和信号 - 基本通信
- 目标:学习端口和信号的使用。
- 应用:理解模块间通信基础。
#include <systemc.h>
// 定义一个信号生产者模块
SC_MODULE(Producer) {
sc_out<bool> signal_out; // 输出端口
SC_CTOR(Producer) {
SC_THREAD(produce_thread);
}
void produce_thread() {
bool value = false;
while (true) {
signal_out.write(value); // 写入信号
cout << "Producer wrote: " << value << " at " << sc_time_stamp() << endl;
value = !value; // 翻转值
wait(1, SC_NS); // 每 1 纳秒翻转一次
}
}
};
// 定义一个信号消费者模块
SC_MODULE(Consumer) {
sc_in<bool> signal_in; // 输入端口
SC_CTOR(Consumer) {
SC_THREAD(consume_thread);
}
void consume_thread() {
while (true) {
bool value = signal_in.read(); // 读取信号
cout << "Consumer read: " << value << " at " << sc_time_stamp() << endl;
wait(1, SC_NS); // 每 1 纳秒读取一次
}
}
};
// Testbench
int sc_main(int argc, char* argv[]) {
sc_signal<bool> sig; // 定义信号
// 创建模块实例
Producer prod("Producer");
Consumer cons("Consumer");
// 连接端口和信号
prod.signal_out(sig);
cons.signal_in(sig);
// 启动仿真,运行 10 纳秒
sc_start(10, SC_NS);
return 0;
}
1.3 时钟信号 - 时序控制
- 目标:学习时钟信号的定义和使用。
- 应用:理解时序驱动仿真。
#include <systemc.h>
// 定义一个时钟驱动的模块
SC_MODULE(ClockDriven) {
sc_in<bool> clk; // 时钟输入端口
SC_CTOR(ClockDriven) {
SC_THREAD(clock_thread);
sensitive << clk.pos(); // 敏感于时钟上升沿
}
void clock_thread() {
int count = 0;
while (true) {
wait(); // 等待时钟上升沿
cout << "Clock tick, Count: " << count++ << " at " << sc_time_stamp() << endl;
}
}
};
// Testbench
int sc_main(int argc, char* argv[]) {
sc_clock clk("clk", 1, SC_NS, 0.5); // 定义时钟,周期 1ns,占空比 50%
// 创建模块实例
ClockDriven cd("ClockDriven");
// 连接时钟信号
cd.clk(clk);
// 启动仿真,运行 10 纳秒
sc_start(10, SC_NS);
return 0;
}
1.4 线程与方法进程 - 并发行为
- 目标:学习 SC_THREAD 和 SC_METHOD 进程。
- 应用:理解并发执行。
#include <systemc.h>
// 定义一个包含线程和方法进程的模块
SC_MODULE(Concurrent) {
SC_CTOR(Concurrent) {
SC_THREAD(thread_process); // 线程进程
SC_METHOD(method_process); // 方法进程
sensitive << sc_time(1, SC_NS); // 每 1ns 触发一次方法进程
}
void thread_process() {
int count = 0;
while (true) {
cout << "Thread Process, Count: " << count++ << " at " << sc_time_stamp() << endl;
wait(2, SC_NS); // 每 2ns 执行一次
}
}
void method_process() {
static int count = 0;
cout << "Method Process, Count: " << count++ << " at " << sc_time_stamp() << endl;
}
};
// Testbench
int sc_main(int argc, char* argv[]) {
// 创建模块实例
Concurrent conc("Concurrent");
// 启动仿真,运行 10 纳秒
sc_start(10, SC_NS);
return 0;
}
1.5 事件触发 - 自定义事件
- 目标:学习事件的使用。
- 应用:理解事件驱动仿真。
#include <systemc.h>
// 定义一个事件触发模块
SC_MODULE(EventTrigger) {
sc_event trigger_event; // 自定义事件
SC_CTOR(EventTrigger) {
SC_THREAD(trigger_thread);
SC_THREAD(listener_thread);
}
void trigger_thread() {
while (true) {
trigger_event.notify(); // 触发事件
cout << "Event triggered at " << sc_time_stamp() << endl;
wait(2, SC_NS); // 每 2ns 触发一次
}
}
void listener_thread() {
while (true) {
wait(trigger_event); // 等待事件触发
cout << "Event received at " << sc_time_stamp() << endl;
}
}
};
// Testbench
int sc_main(int argc, char* argv[]) {
// 创建模块实例